PDA

Просмотр полной версии : Обсуждение новостей



Страницы : 1 2 3 4 5 6 7 8 9 [10]

  1. Intel IFS Direct Connect: Intel анонсировала Intel 14A и планы по дальнейшей оптимизации техпроцессов
  2. ARM сделала ставку на Intel, Samsung и TSMC по производству чипов
  3. Intel Foundry: ARM, Microsoft и другие – партнеры и клиенты одновременно
  4. IFS Direct Connect: партнеры все больше полагаются на Intel 18A
  5. Возвращение к истокам: подразделение Intel по производству FPGA снова называется Altera
  6. NVIDIA стала вторым крупнейшим клиентом TSMC: хорошая ситуация с заказами
  7. Репортаж с Retro LAN Party: комплектующие начала нулевых
  8. Samsung переименует 3-нм техпроцесс в 2-нм
  9. Ускорители искусственного интеллекта для Китая: AMD не получила лицензию на экспорт
  10. Computex 2024: на пленарном докладе выступят Лиза Су и Пэт Гелсингер
  11. Marvell и TSMC продолжают сотрудничество: сетевые чипы по 2-нм техпроцессу
  12. Intel 14A обеспечивает 15%, Intel 14A-E - еще 5% прирост по производительности на ватт
  13. ASML поставила первый Twinscan NXE:3800E с большей пропускной способностью для 3 и 2 нм техпроцессов
  14. Intel и TSMC: зависимость «несколько сильнее, чем хотелось бы»
  15. OpenAI представляет систему искусственного интеллекта Sora: текст в видео (обновление)
  16. ZOTAC выходит на рынок серверов
  17. NVIDIA, Synopsys, TSMC: cuLitho ускоряет разработку масок в полупроводниковом производстве
  18. GTC 2024: дополнительная информация о Blackwell, знакомство с GB200-NVL72
  19. Earth-2: модель климата и погоды с использованием искусственного интеллекта и разрешением 2 км
  20. Мегапроект стоимостью 465 миллиардов долларов: закладка первого камня состоится весной 2025 года
  21. LATTE3D: 3D-объекты из текста почти в реальном времени
  22. Китай запрещает процессоры от AMD и Intel в компьютерах государственного сектора
  23. ЦОД для ИИ: Amazon планирует потратить $150 млрд., Microsoft - более $100 млрд.
  24. Завод в Аризоне: TSMC начнет массовое производство в 2024 году
  25. Новые экспортные ограничения: в том числе на GeForce RTX 4090 D и H20
  26. Внутренняя самооценка: как Intel видит свое место по современным техпроцессам
  27. Землетрясение на Тайване: TSMC частично остановила производство и проверяет, нет ли повреждений (обновление)
  28. Корпусировка Advanced Packaging для HBM: Sk hynix инвестирует четыре миллиарда долларов в американский штат Индиана
  29. 44 миллиарда долларов США: Samsung увеличит инвестиции в Техас более чем в два раза
  30. TSMC планирует построить три фабрики в США за $65 млрд.
  31. Lamptron, вероятно, поставляет нелегальные ключи AIDA64
  32. ASML: EUV набирает обороты, вторая система High-NA отправляется к заказчикам
  33. High-NA EUV: Intel о возможностях и рисках новой технологии
  34. EK Water Blocks в тяжелом финансовом положении (обновление)
  35. NVIDIA: пленарный доклад на Computex, первая система H200 отправляется в OpenAI
  36. TSMC переносит BSPDN на техпроцесс A16
  37. TSMC 2024 Technology Symposium: техпроцессы N2 с поддержкой NanoFlex, бюджетный техпроцесс N4C
  38. Квартальные результаты Intel: убытки Foundry, прибыль Products