Просмотр полной версии : Обсуждение новостей
Страницы :
1
2
3
4
5
6
7
8
9
[
10]
- Intel IFS Direct Connect: Intel анонсировала Intel 14A и планы по дальнейшей оптимизации техпроцессов
- ARM сделала ставку на Intel, Samsung и TSMC по производству чипов
- Intel Foundry: ARM, Microsoft и другие – партнеры и клиенты одновременно
- IFS Direct Connect: партнеры все больше полагаются на Intel 18A
- Возвращение к истокам: подразделение Intel по производству FPGA снова называется Altera
- NVIDIA стала вторым крупнейшим клиентом TSMC: хорошая ситуация с заказами
- Репортаж с Retro LAN Party: комплектующие начала нулевых
- Samsung переименует 3-нм техпроцесс в 2-нм
- Ускорители искусственного интеллекта для Китая: AMD не получила лицензию на экспорт
- Computex 2024: на пленарном докладе выступят Лиза Су и Пэт Гелсингер
- Marvell и TSMC продолжают сотрудничество: сетевые чипы по 2-нм техпроцессу
- Intel 14A обеспечивает 15%, Intel 14A-E - еще 5% прирост по производительности на ватт
- ASML поставила первый Twinscan NXE:3800E с большей пропускной способностью для 3 и 2 нм техпроцессов
- Intel и TSMC: зависимость «несколько сильнее, чем хотелось бы»
- OpenAI представляет систему искусственного интеллекта Sora: текст в видео (обновление)
- ZOTAC выходит на рынок серверов
- NVIDIA, Synopsys, TSMC: cuLitho ускоряет разработку масок в полупроводниковом производстве
- GTC 2024: дополнительная информация о Blackwell, знакомство с GB200-NVL72
- Earth-2: модель климата и погоды с использованием искусственного интеллекта и разрешением 2 км
- Мегапроект стоимостью 465 миллиардов долларов: закладка первого камня состоится весной 2025 года
- LATTE3D: 3D-объекты из текста почти в реальном времени
- Китай запрещает процессоры от AMD и Intel в компьютерах государственного сектора
- ЦОД для ИИ: Amazon планирует потратить $150 млрд., Microsoft - более $100 млрд.
- Завод в Аризоне: TSMC начнет массовое производство в 2024 году
- Новые экспортные ограничения: в том числе на GeForce RTX 4090 D и H20
- Внутренняя самооценка: как Intel видит свое место по современным техпроцессам
- Землетрясение на Тайване: TSMC частично остановила производство и проверяет, нет ли повреждений (обновление)
- Корпусировка Advanced Packaging для HBM: Sk hynix инвестирует четыре миллиарда долларов в американский штат Индиана
- 44 миллиарда долларов США: Samsung увеличит инвестиции в Техас более чем в два раза
- TSMC планирует построить три фабрики в США за $65 млрд.
- Lamptron, вероятно, поставляет нелегальные ключи AIDA64
- ASML: EUV набирает обороты, вторая система High-NA отправляется к заказчикам
- High-NA EUV: Intel о возможностях и рисках новой технологии
- EK Water Blocks в тяжелом финансовом положении (обновление 3)
- NVIDIA: пленарный доклад на Computex, первая система H200 отправляется в OpenAI
- TSMC переносит BSPDN на техпроцесс A16
- TSMC 2024 Technology Symposium: техпроцессы N2 с поддержкой NanoFlex, бюджетный техпроцесс N4C
- Квартальные результаты Intel: убытки Foundry, прибыль Products
- CoW-SoW: TSMC планирует выпускать сложные системы на пластинах с HBM
- NVIDIA ChatRTX: теперь с новыми LLM и поиском по изображениям
- Перспективы Zen 5: подразделение ЦОД спасает показатели AMD
- Суперкомпьютеры Top500: только одна новая модель в первой десятке
- Суперкомпьютер Aurora: только второе место
- Критика гарантийного ремонта: ASUS требует плату за ремонт, в котором нет необходимости (обновление)
- Die-to-Wafer Hybridbonding: imec добился расстояния между контактами всего в 2 мкм
- Black Semiconductor: немецкий стартап работает над оптическими межсоединениями из графена
- 0,75 High-NA и Hyper-NA EUV: новые планы ASML для систем EUV
- Samsung SF2Z с BSPDN, SF3 с GAA в этом году и SF4U для больших объемов
- Intel разъяснила преимущества техпроцесса Intel 3
- Intel 3-E и Intel 3-PT: дальнейшие усовершенствования техпроцесса 3 нм
- High Bandwidth Instance: ARM хочет разогнать SRAM до 7 ГГц
- TSMC: более крупные подложки, а также прямоугольные пластины
- Intel EMIB: Ansys, Cadence, Siemens и Synopsys – технологические партнеры
- Intel Silicon Photonics: первые чиплеты Optical Compute Interconnect (OCI)
- Программные решения для искусственного интеллекта: AMD покупает Silo AI за $665 млн.
- AMD и Samsung будут работать вместе над полупроводниковыми подложками
- X.AI создает огромный кластер искусственного интеллекта на 100.000 ускорителях H100 с огромным энергопотреблением
- Intel принимает меры по сокращению расходов, инженеры получают щедрые выходные пособия
- NVIDIA заработала более $30 млрд., несмотря на проблемы производства Blackwell
- Сразу на Intel 18A: Intel отменяет техпроцесс Intel 20A
- 2,4 ZFLOPS: Oracle устанавливает 131.072 GPU Blackwell от NVIDIA
- Infineon переводит производство силовых полупроводников на 300-мм пластины
- План Intel по сокращению расходов: компания хочет стать более эффективной, строительство нового завода в Магдебурге приостановили
- Возможно, Qualcomm сделала Intel предложение о поглощении
- Ураган «Хелен» ограничил добычу высокочистого кварца: возможные проблемы в цепочке поставок полупроводников
- CES 2025: генеральный директор NVIDIA Дженсен Хуанг выступит на пленарном докладе
- Intel и AMD: вместе для экосистемы x86
- С производством Blackwell все в порядке: NVIDIA «делится» дизайнами с OCP
- Snapdragon для автомобилей: Qualcomm представляет новые платформы
- Новый взгляд на суперкомпьютер Colossus AI: NVIDIA и xAI высоко оценивают совместную работу
- Квартальные показатели AMD: Instinct и EPYC компенсируют слабый игровой бизнес
- Квартальные результаты Intel: дорогой бизнес Foundry съедает небольшие прибыли
- Samsung Foundry: волна увольнений и возможное выделение компании
- Анализ рынка: значительный рост доли настольных ПК с процессорами AMD
- Кремниевый щит: TSMC может производить 2-нм чипы только на Тайване
- Технологии будущего: Samsung открывает новый комплекс для разработок
- Очередной рекордный квартал для NVIDIA благодаря буму ИИ
- Интерпозер с 12x HBM4: TSMC CoWoS позволит создавать огромные корпусировки с 2027 года
- Китай производит 1 миллион пластин, а YMTC использует половину из них для чипов памяти
- Huawei HiSilicon Ascend 910(B): переход на китайское производство демонстрирует заметные отличия
- Генеральный директор Intel Пэт Гелсингер уходит в отставку с 1 декабря
- Уход Пэта Гелсингера из Intel: время выбрано неудачно
- Amazon Web Services: в планах ультракластер с сотнями тысяч чипов Trainium
- Суперкомпьютер искусственного интеллекта Colossus: xAI планирует расширить его с помощью миллиона ускорителей
- Broadcom 3.5D XDSiP: CoWoS от TSMC — основа для 3.5D-платформы Broadcom
- Доля выхода годных кристаллов и их размер: разбираемся с некоторыми мифами
- IEDM 2024: Intel о новых разработках в производстве микросхем
- IBM*Co-Packaged Optics:*совместно упакованная оптика обещает высокие скорости передачи данных
- Vertical Compute: спин-офф компании imec разрабатывает технологии чиплетной памяти
- xAI: миллиардный заказ достался HPE
- Интел теряет главного архитектора Xeon: Сайлеш Коттапалли переходит в Qualcomm
- Квартальные результаты AMD: Ryzen и AI-ускорители растут, Radeon проседает
- Apple вкладывает 500 миллиардов долларов за четыре года в производство чипов и сборку
- Квартальные итоги NVIDIA: аппаратное обеспечение для ИИ растет, продажи GeForce увядают
- Фабрика чипов в Огайо: Intel сдвинула завершение на 2030 год
- Сотрудничество с TSMC: Marvell показывает первый чип на 2 нм
- Еще 100 миллиардов долларов: TSMC удваивает число фабрик в США
- Intel 18A: NVIDIA и Broadcom проверяют производство у Intel
- Broadcom не купит Intel: интерес пропал
- Computex 2025: NVIDIA выступит с пленарным докладом
- Лип-Бу Тан: Intel обрела нового генерального директора
- Поехали: Intel запустила производство 18A на заводе в Аризоне
- GTC25: домашняя выставка NVIDIA открывает путь Blackwell Ultra
- 6,5 миллиарда долларов США: SoftBank покупает Ampere Computing
- Intel остается в числе вариантов: Blackwell Ultra уже производят в Аризоне
- Intel делает ставку на Advanced Packaging
- Лип-Бу Тан, генеральный директор Intel, подтверждает планы по Panther Lake, Nova Lake, Clearwater Forest и Intel 18A
- ZT Systems: AMD продолжает покупать компании (обновление)
- A1 Digital, Exoscale и Diggers: серверы с утилизацией до 98% тепла
- Advancing AI 2025: новые ускорители, ПО и видение будущего ИИ уже 12 июня
- Процессоры AMD EPYC и графические ускорители NVIDIA Blackwell: производство на заводе TSMC в Аризоне
- Technology Symposium 2025: TSMC о CoWoS, SoIC и SoW как вариантах корпусировки
- Technology Symposium 2025: TSMC уверенно смотрит на производство по нормам N2
- Foundry Direct Connect: Intel рассказала о техпроцессе Intel 14A и высокопроизводительном варианте Intel 18A-P
- Intel 18A, 18A-P и 14A: Intel раскрыла первые данные о производительности новых техпроцессов
- EMIB-T и Foveros-R/B: новые технологии корпусирования от Intel
- UMC и Intel Foundry: массовый выпуск с 2027 года
- PowerVia: дорогая технология, которая всё же должна себя оправдать
- Intel 14A: Turbo Cells призваны раскрыть частотный потенциал
- High-NA EUV: Intel оставляет себе запасной вариант для Intel 14A
- Foundry Direct Connect: Intel хочет слушать и вернуть доверие
- Производство чипов: Huawei наращивает собственное производство в Шэньчжэне
- AMD отчиталась о самом успешном первом квартале в своей истории
- Суперкомпьютер Colossus AI: 35 газовых турбин обеспечивают энергоснабжение
- Многомиллиардное сотрудничество: HUMAIN закупает оборудование у AMD, NVIDIA, Qualcomm и других
- Контрактный бизнес Intel: неудачи с тестовыми чипами на базе Intel 18A
- Выставка Computex 2025 открылась в Тайбэе
- NVIDIA отказывается от Intel Foundry: компания продолжает использовать только TSMC для сборки чипов
- Основная презентация NVIDIA на Computex 2025: GB300 Blackwell Ultra, проект Stargate и серверы RTX PRO (обновление)
- До 1 МВт на стойку: NVIDIA продвигает питание на 800 В для дата-центров
- Квартальные результаты: NVIDIA снова бьет рекорды — включая GeForce-сегмент
- ECTC 2025: Intel снова показывает EMIB-T для HBM4
- Doudna: аппаратная платформа Vera Rubin для нового суперкомпьютера США
- Untether AI и Alphawave Semi: AMD и Qualcomm идут по пути слияний и поглощений
- Jupiter в JSC: у Германии появился самый быстрый суперкомпьютер в Европе
- Blue Lion: немецкий суперкомпьютер с аппаратной платформой NVIDIA следующего поколения
- Top500 и Green500: новые лидеры среди суперкомпьютеров
- Премия Nimbus: объявлены победители в сфере передовых технологий
- Корпусирование CoPoS: TSMC ищет альтернативы интерпозерам
- Эволюция ИИ от Сэма Альтмана: сингулярность ближе, чем кажется
- Прогноз по HBM и чипам для ИИ: до 15 кВт на ускоритель и гигантские объёмы HBM
- GeForce RTX 5090 и Ryzen 7 9800X3D: подделок становится всё больше
- Клеить вместо паять: учёные разрабатывают более эффективные методы вертикальной интеграции чипов
- С почти 100 % до 48 %: доля Intel на рынке серверных процессоров продолжает сокращаться
- Производство чипов: Samsung стремится обогнать TSMC в освоении 2-нм техпроцесса
- SF2P и SF2P+: Samsung раскрыла детали развития 2-нм техпроцессов и задержки SF1.4
- Фокус на Intel 14A: Intel сворачивает усилия по привлечению сторонних заказчиков на 18A
- Новая мера экономии: Intel отказывается от собственной разработки стеклянных подложек и переходит на готовые решения
- GB300 NVL72: CoreWeave запустила первый сервер на базе Blackwell Ultra
- Электроснабжение: xAI получила разрешение на эксплуатацию 15 газовых турбин для обучения Grok
- GaN-пластины для силовой электроники: Infineon наращивает производство, а TSMC сворачивает
- За 9 миллиардов долларов: CoreWeave покупает Core Scientific
- OpenAI опровергла слухи: в дата-центрах компании нет TPU от Google
- Новые сокращения: Intel уволит до 20 % сотрудников на фабриках (обновление)
- Ветеран CPU-рынка: Globalfoundries собирается приобрести MIPS Technologies
- В гостях у HLRS в Штутгарте: Hunter прокладывает дорогу Herder
- TSMC в Аризоне: передовые технологии упаковки (advanced packaging) — в США с 2028 года
- Гендиректор Intel: NVIDIA нам пока недосягаема. Мы должны проявить скромность
- Производство чипов: выход Intel 18A достиг 70 %, Zen 6 будет выпускаться по адаптированному техпроцессу N2
- Экспорт снова открыт: AMD и NVIDIA возобновили поставки ускорителей MI308 и H20 в Китай (обновление)
- По итогам квартала: ASML поставила первую систему High-NA EUV EXE:5200B
- Квартальный отчёт: TSMC сообщает о рекордной прибыли благодаря техпроцессам N3 и N5
- Риск и возможность одновременно: AI PC — на пороге массового прорыва?
- Panel-level Packaging: Nikon представила литографическую систему для сверхкрупных панелей
- Японский контрактный производитель Rapidus намерен начать выпуск 2-нм чипов в 2027 году
- Завод FMC по производству чипов под Магдебургом: проект на 3 миллиарда евро
- Intel отказывается от строительства завода в Германии: проект в Магдебурге окончательно отменён
- Вынужденные меры экономии: Intel собирается выделить сетевое подразделение NEX в отдельную компанию
- Квартальные отчёты: Amazon, Apple и Microsoft превзошли ожидания рынка
- Nintendo Switch 2 стала настоящим хитом: продажи взлетели вдвое
- NVIDIA дала разъяснение: никаких Kill Switch и Backdoor в графических процессорах
- Квартальные результаты AMD: Ryzen и Radeon показывают рост, серверы и ускорители теряют позиции
- Скандал вокруг TSMC: утечка коммерческой тайны и фейковые заявления о многомиллиардных инвестициях
- Dojo 3: Intel получила заказ от Tesla на Advanced Packaging
- 15 % от оборота: AMD и NVIDIA будут платить США за экспорт в Китай
- Трамп требует немедленной отставки главы Intel Лип-Бу Тана (обновление 4)
- Отчёт о переговорах: США могут войти в капитал Intel (обновление 2)
- AMD приглашает на День финансового аналитика 11 ноября
- Документальный фильм: процветающий чёрный рынок ускорителей ИИ в Китае
- Fujitsu и NVIDIA: международное сотрудничество для FugakuNEXT
- Hot Chips 2025: NVIDIA раскрывает подробности о GB10 SoC, сетевых решениях и не только
- Кризис у Intel: компания передает 10 % акций правительству США
- NVIDIA подтвердила Tape Out: шесть новых чипов скоро выйдут с фабрик TSMC
- Hot Chips 2025: вызовы масштабных стоечных решений
- Квантовые суперкомпьютеры: AMD и IBM объявили о сотрудничестве
- Квартальные результаты: выручка и прибыль NVIDIA продолжают расти
- Сравнение с Intel, TSMC и Samsung: Rapidus намерена выйти на уровень 2-нм лидеров
- ASML TWINSCAN EXE 5200B: SK Hynix ввела в эксплуатацию первую систему High-NA EUV
- Чип ИИ от OpenAI выйдет в 2026 году: контракт на $10 млрд для Broadcom
- 4-е место в мире: самый быстрый суперкомпьютер Европы официально запущен
- Генеральный директор Qualcomm: производство чипов Intel пока не дотягивает
- Новые экспортные ограничения? Производители GPU могут столкнуться с новыми проблемами
- Соглашение по авторскому праву: авторы получат миллиардные компенсации от компании Anthropic
- Кадровые перестановки: Intel назначает новых руководителей
- Стратегическое партнёрство: ASML инвестирует 1,3 млрд евро в Mistral AI
- Вместо старых фабрик: TSMC планирует выпускать пелликулы самостоятельно
- После 28 лет работы: архитектор процессоров Ронак Сингхал уходит из Intel
- NVIDIA и Intel объединяют усилия: RTX-чиплеты и кастомные Xeon-процессоры (обновление)
- Microsoft строит самый мощный в мире дата-центр: сотни тысяч ускорителей
- NVIDIA и OpenAI: оборудование на 10 ГВт и инвестиции до 100 млрд долларов
- Инвестиции: Intel, похоже, ведёт переговоры с Apple
- Electronic Arts продана международным инвесторам за 55 миллиардов долларов
- GPU Instinct MI450 на 6 ГВт: AMD и OpenAI объявили о стратегическом партнёрстве (обновление)
- Визит на завод Intel Fab 52: 50 000 м² высокотехнологичной точности
- NVIDIA выбрала HBM3E от Samsung для систем GB300: теперь окончательно
- NVIDIA и OCP: 800 В постоянного тока, шина с водяным охлаждением и архитектура Vera Rubin CPX/MGX для Open Compute Project
- Теперь официально: OpenAI и Broadcom объединяют усилия для разработки ускорителей ИИ
- AMD готовит стойки Helios и графические ускорители Instinct MI450 Series для Oracle
- Очередное кадровое изменение: Роб Брукнер покидает Intel и переходит в Dell
- Квартальный отчёт TSMC: компания установила рекорд по выручке, а производство по техпроцессу N2 выходит на массовый уровень
- NVIDIA x TSMC: первая кремниевая пластина с GPU Blackwell произведена в США
- На техпроцессе Intel 18A-P: Microsoft может стать первым крупным клиентом Intel Foundry
- Медиа-гигант может сменить владельца: Warner Bros. рассматривает предложения о продаже
- VW снова сталкивается с кризисом поставок чипов: производство под угрозой из-за проблем у Nexperia
- Разработка Dojo прекращена: Tesla сосредоточится на едином дизайне чипа ИИ (обновление 2)
- Intel отчиталась о хороших квартальных результатах: компания предупреждает о возможном дефиците чипов в 2026 году
- Lux и Discovery: два новых суперкомпьютера с оборудованием AMD от HPE для Oak Ridge National Laboratory
- Для Feynman: NVIDIA станет первым клиентом TSMC для A16 с технологией Backside Power (обновление)
- Министерство энергетики США: новые ИИ-системы — теперь на оборудовании NVIDIA
- Расширение в Дрездене: GlobalFoundries инвестирует 1,1 миллиарда евро
- Рентгеновская литография может бросить вызов ASML и TSMC: ажиотаж вокруг американского стартапа Substrate
- Intel открыла первый Experience Store в Мюнхене: поп-ап-пространство сосредоточено на ИИ и новых чипах Panther Lake
- Вычислительные ресурсы в AWS: OpenAI заказывает мощности на 38 миллиардов долларов
- Опрос: европейские компании готовы платить больше за полупроводники, произведённые в ЕС
- AMD отчиталась о хороших финансовых показателях за третий квартал 2025 года: сильные результаты в сегментах дата-центров и клиентских решений