PDA

Просмотр полной версии : Обсуждение новостей



Страницы : 1 2 3 4 5 6 7 8 9 [10]

  1. Intel IFS Direct Connect: Intel анонсировала Intel 14A и планы по дальнейшей оптимизации техпроцессов
  2. ARM сделала ставку на Intel, Samsung и TSMC по производству чипов
  3. Intel Foundry: ARM, Microsoft и другие – партнеры и клиенты одновременно
  4. IFS Direct Connect: партнеры все больше полагаются на Intel 18A
  5. Возвращение к истокам: подразделение Intel по производству FPGA снова называется Altera
  6. NVIDIA стала вторым крупнейшим клиентом TSMC: хорошая ситуация с заказами
  7. Репортаж с Retro LAN Party: комплектующие начала нулевых
  8. Samsung переименует 3-нм техпроцесс в 2-нм
  9. Ускорители искусственного интеллекта для Китая: AMD не получила лицензию на экспорт
  10. Computex 2024: на пленарном докладе выступят Лиза Су и Пэт Гелсингер
  11. Marvell и TSMC продолжают сотрудничество: сетевые чипы по 2-нм техпроцессу
  12. Intel 14A обеспечивает 15%, Intel 14A-E - еще 5% прирост по производительности на ватт
  13. ASML поставила первый Twinscan NXE:3800E с большей пропускной способностью для 3 и 2 нм техпроцессов
  14. Intel и TSMC: зависимость «несколько сильнее, чем хотелось бы»
  15. OpenAI представляет систему искусственного интеллекта Sora: текст в видео (обновление)
  16. ZOTAC выходит на рынок серверов
  17. NVIDIA, Synopsys, TSMC: cuLitho ускоряет разработку масок в полупроводниковом производстве
  18. GTC 2024: дополнительная информация о Blackwell, знакомство с GB200-NVL72
  19. Earth-2: модель климата и погоды с использованием искусственного интеллекта и разрешением 2 км
  20. Мегапроект стоимостью 465 миллиардов долларов: закладка первого камня состоится весной 2025 года
  21. LATTE3D: 3D-объекты из текста почти в реальном времени
  22. Китай запрещает процессоры от AMD и Intel в компьютерах государственного сектора
  23. ЦОД для ИИ: Amazon планирует потратить $150 млрд., Microsoft - более $100 млрд.
  24. Завод в Аризоне: TSMC начнет массовое производство в 2024 году
  25. Новые экспортные ограничения: в том числе на GeForce RTX 4090 D и H20
  26. Внутренняя самооценка: как Intel видит свое место по современным техпроцессам
  27. Землетрясение на Тайване: TSMC частично остановила производство и проверяет, нет ли повреждений (обновление)
  28. Корпусировка Advanced Packaging для HBM: Sk hynix инвестирует четыре миллиарда долларов в американский штат Индиана
  29. 44 миллиарда долларов США: Samsung увеличит инвестиции в Техас более чем в два раза
  30. TSMC планирует построить три фабрики в США за $65 млрд.
  31. Lamptron, вероятно, поставляет нелегальные ключи AIDA64
  32. ASML: EUV набирает обороты, вторая система High-NA отправляется к заказчикам
  33. High-NA EUV: Intel о возможностях и рисках новой технологии
  34. EK Water Blocks в тяжелом финансовом положении (обновление 3)
  35. NVIDIA: пленарный доклад на Computex, первая система H200 отправляется в OpenAI
  36. TSMC переносит BSPDN на техпроцесс A16
  37. TSMC 2024 Technology Symposium: техпроцессы N2 с поддержкой NanoFlex, бюджетный техпроцесс N4C
  38. Квартальные результаты Intel: убытки Foundry, прибыль Products
  39. CoW-SoW: TSMC планирует выпускать сложные системы на пластинах с HBM
  40. NVIDIA ChatRTX: теперь с новыми LLM и поиском по изображениям
  41. Перспективы Zen 5: подразделение ЦОД спасает показатели AMD
  42. Суперкомпьютеры Top500: только одна новая модель в первой десятке
  43. Суперкомпьютер Aurora: только второе место
  44. Критика гарантийного ремонта: ASUS требует плату за ремонт, в котором нет необходимости (обновление)
  45. Die-to-Wafer Hybridbonding: imec добился расстояния между контактами всего в 2 мкм
  46. Black Semiconductor: немецкий стартап работает над оптическими межсоединениями из графена
  47. 0,75 High-NA и Hyper-NA EUV: новые планы ASML для систем EUV
  48. Samsung SF2Z с BSPDN, SF3 с GAA в этом году и SF4U для больших объемов
  49. Intel разъяснила преимущества техпроцесса Intel 3
  50. Intel 3-E и Intel 3-PT: дальнейшие усовершенствования техпроцесса 3 нм
  51. High Bandwidth Instance: ARM хочет разогнать SRAM до 7 ГГц
  52. TSMC: более крупные подложки, а также прямоугольные пластины
  53. Intel EMIB: Ansys, Cadence, Siemens и Synopsys – технологические партнеры
  54. Intel Silicon Photonics: первые чиплеты Optical Compute Interconnect (OCI)
  55. Программные решения для искусственного интеллекта: AMD покупает Silo AI за $665 млн.
  56. AMD и Samsung будут работать вместе над полупроводниковыми подложками
  57. X.AI создает огромный кластер искусственного интеллекта на 100.000 ускорителях H100 с огромным энергопотреблением
  58. Intel принимает меры по сокращению расходов, инженеры получают щедрые выходные пособия
  59. NVIDIA заработала более $30 млрд., несмотря на проблемы производства Blackwell
  60. Сразу на Intel 18A: Intel отменяет техпроцесс Intel 20A
  61. 2,4 ZFLOPS: Oracle устанавливает 131.072 GPU Blackwell от NVIDIA
  62. Infineon переводит производство силовых полупроводников на 300-мм пластины
  63. План Intel по сокращению расходов: компания хочет стать более эффективной, строительство нового завода в Магдебурге приостановили
  64. Возможно, Qualcomm сделала Intel предложение о поглощении
  65. Ураган «Хелен» ограничил добычу высокочистого кварца: возможные проблемы в цепочке поставок полупроводников
  66. CES 2025: генеральный директор NVIDIA Дженсен Хуанг выступит на пленарном докладе
  67. Intel и AMD: вместе для экосистемы x86
  68. С производством Blackwell все в порядке: NVIDIA «делится» дизайнами с OCP
  69. Snapdragon для автомобилей: Qualcomm представляет новые платформы
  70. Новый взгляд на суперкомпьютер Colossus AI: NVIDIA и xAI высоко оценивают совместную работу
  71. Квартальные показатели AMD: Instinct и EPYC компенсируют слабый игровой бизнес
  72. Квартальные результаты Intel: дорогой бизнес Foundry съедает небольшие прибыли
  73. Samsung Foundry: волна увольнений и возможное выделение компании
  74. Анализ рынка: значительный рост доли настольных ПК с процессорами AMD
  75. Кремниевый щит: TSMC может производить 2-нм чипы только на Тайване
  76. Технологии будущего: Samsung открывает новый комплекс для разработок
  77. Очередной рекордный квартал для NVIDIA благодаря буму ИИ
  78. Интерпозер с 12x HBM4: TSMC CoWoS позволит создавать огромные корпусировки с 2027 года
  79. Китай производит 1 миллион пластин, а YMTC использует половину из них для чипов памяти
  80. Huawei HiSilicon Ascend 910(B): переход на китайское производство демонстрирует заметные отличия
  81. Генеральный директор Intel Пэт Гелсингер уходит в отставку с 1 декабря
  82. Уход Пэта Гелсингера из Intel: время выбрано неудачно
  83. Amazon Web Services: в планах ультракластер с сотнями тысяч чипов Trainium
  84. Суперкомпьютер искусственного интеллекта Colossus: xAI планирует расширить его с помощью миллиона ускорителей
  85. Broadcom 3.5D XDSiP: CoWoS от TSMC — основа для 3.5D-платформы Broadcom
  86. Доля выхода годных кристаллов и их размер: разбираемся с некоторыми мифами
  87. IEDM 2024: Intel о новых разработках в производстве микросхем
  88. IBM*Co-Packaged Optics:*совместно упакованная оптика обещает высокие скорости передачи данных
  89. Vertical Compute: спин-офф компании imec разрабатывает технологии чиплетной памяти
  90. xAI: миллиардный заказ достался HPE
  91. Интел теряет главного архитектора Xeon: Сайлеш Коттапалли переходит в Qualcomm
  92. Квартальные результаты AMD: Ryzen и AI-ускорители растут, Radeon проседает
  93. Apple вкладывает 500 миллиардов долларов за четыре года в производство чипов и сборку
  94. Квартальные итоги NVIDIA: аппаратное обеспечение для ИИ растет, продажи GeForce увядают
  95. Фабрика чипов в Огайо: Intel сдвинула завершение на 2030 год
  96. Сотрудничество с TSMC: Marvell показывает первый чип на 2 нм
  97. Еще 100 миллиардов долларов: TSMC удваивает число фабрик в США
  98. Intel 18A: NVIDIA и Broadcom проверяют производство у Intel
  99. Broadcom не купит Intel: интерес пропал
  100. Computex 2025: NVIDIA выступит с пленарным докладом
  101. Лип-Бу Тан: Intel обрела нового генерального директора
  102. Поехали: Intel запустила производство 18A на заводе в Аризоне
  103. GTC25: домашняя выставка NVIDIA открывает путь Blackwell Ultra
  104. 6,5 миллиарда долларов США: SoftBank покупает Ampere Computing
  105. Intel остается в числе вариантов: Blackwell Ultra уже производят в Аризоне
  106. Intel делает ставку на Advanced Packaging
  107. Лип-Бу Тан, генеральный директор Intel, подтверждает планы по Panther Lake, Nova Lake, Clearwater Forest и Intel 18A
  108. ZT Systems: AMD продолжает покупать компании (обновление)
  109. A1 Digital, Exoscale и Diggers: серверы с утилизацией до 98% тепла
  110. Advancing AI 2025: новые ускорители, ПО и видение будущего ИИ уже 12 июня
  111. Процессоры AMD EPYC и графические ускорители NVIDIA Blackwell: производство на заводе TSMC в Аризоне
  112. Technology Symposium 2025: TSMC о CoWoS, SoIC и SoW как вариантах корпусировки
  113. Technology Symposium 2025: TSMC уверенно смотрит на производство по нормам N2
  114. Foundry Direct Connect: Intel рассказала о техпроцессе Intel 14A и высокопроизводительном варианте Intel 18A-P
  115. Intel 18A, 18A-P и 14A: Intel раскрыла первые данные о производительности новых техпроцессов
  116. EMIB-T и Foveros-R/B: новые технологии корпусирования от Intel
  117. UMC и Intel Foundry: массовый выпуск с 2027 года
  118. PowerVia: дорогая технология, которая всё же должна себя оправдать
  119. Intel 14A: Turbo Cells призваны раскрыть частотный потенциал
  120. High-NA EUV: Intel оставляет себе запасной вариант для Intel 14A
  121. Foundry Direct Connect: Intel хочет слушать и вернуть доверие
  122. Производство чипов: Huawei наращивает собственное производство в Шэньчжэне
  123. AMD отчиталась о самом успешном первом квартале в своей истории
  124. Суперкомпьютер Colossus AI: 35 газовых турбин обеспечивают энергоснабжение
  125. Многомиллиардное сотрудничество: HUMAIN закупает оборудование у AMD, NVIDIA, Qualcomm и других
  126. Контрактный бизнес Intel: неудачи с тестовыми чипами на базе Intel 18A
  127. Выставка Computex 2025 открылась в Тайбэе
  128. NVIDIA отказывается от Intel Foundry: компания продолжает использовать только TSMC для сборки чипов
  129. Основная презентация NVIDIA на Computex 2025: GB300 Blackwell Ultra, проект Stargate и серверы RTX PRO (обновление)
  130. До 1 МВт на стойку: NVIDIA продвигает питание на 800 В для дата-центров
  131. Квартальные результаты: NVIDIA снова бьет рекорды — включая GeForce-сегмент
  132. ECTC 2025: Intel снова показывает EMIB-T для HBM4
  133. Doudna: аппаратная платформа Vera Rubin для нового суперкомпьютера США
  134. Untether AI и Alphawave Semi: AMD и Qualcomm идут по пути слияний и поглощений
  135. Jupiter в JSC: у Германии появился самый быстрый суперкомпьютер в Европе
  136. Blue Lion: немецкий суперкомпьютер с аппаратной платформой NVIDIA следующего поколения
  137. Top500 и Green500: новые лидеры среди суперкомпьютеров
  138. Премия Nimbus: объявлены победители в сфере передовых технологий
  139. Корпусирование CoPoS: TSMC ищет альтернативы интерпозерам
  140. Эволюция ИИ от Сэма Альтмана: сингулярность ближе, чем кажется
  141. Прогноз по HBM и чипам для ИИ: до 15 кВт на ускоритель и гигантские объёмы HBM
  142. GeForce RTX 5090 и Ryzen 7 9800X3D: подделок становится всё больше
  143. Клеить вместо паять: учёные разрабатывают более эффективные методы вертикальной интеграции чипов
  144. С почти 100 % до 48 %: доля Intel на рынке серверных процессоров продолжает сокращаться
  145. Производство чипов: Samsung стремится обогнать TSMC в освоении 2-нм техпроцесса
  146. SF2P и SF2P+: Samsung раскрыла детали развития 2-нм техпроцессов и задержки SF1.4
  147. Фокус на Intel 14A: Intel сворачивает усилия по привлечению сторонних заказчиков на 18A
  148. Новая мера экономии: Intel отказывается от собственной разработки стеклянных подложек и переходит на готовые решения
  149. GB300 NVL72: CoreWeave запустила первый сервер на базе Blackwell Ultra
  150. Электроснабжение: xAI получила разрешение на эксплуатацию 15 газовых турбин для обучения Grok
  151. GaN-пластины для силовой электроники: Infineon наращивает производство, а TSMC сворачивает
  152. За 9 миллиардов долларов: CoreWeave покупает Core Scientific
  153. OpenAI опровергла слухи: в дата-центрах компании нет TPU от Google
  154. Новые сокращения: Intel уволит до 20 % сотрудников на фабриках (обновление)
  155. Ветеран CPU-рынка: Globalfoundries собирается приобрести MIPS Technologies
  156. В гостях у HLRS в Штутгарте: Hunter прокладывает дорогу Herder
  157. TSMC в Аризоне: передовые технологии упаковки (advanced packaging) — в США с 2028 года
  158. Гендиректор Intel: NVIDIA нам пока недосягаема. Мы должны проявить скромность
  159. Производство чипов: выход Intel 18A достиг 70 %, Zen 6 будет выпускаться по адаптированному техпроцессу N2
  160. Экспорт снова открыт: AMD и NVIDIA возобновили поставки ускорителей MI308 и H20 в Китай (обновление)
  161. По итогам квартала: ASML поставила первую систему High-NA EUV EXE:5200B
  162. Квартальный отчёт: TSMC сообщает о рекордной прибыли благодаря техпроцессам N3 и N5
  163. Риск и возможность одновременно: AI PC — на пороге массового прорыва?
  164. Panel-level Packaging: Nikon представила литографическую систему для сверхкрупных панелей
  165. Японский контрактный производитель Rapidus намерен начать выпуск 2-нм чипов в 2027 году
  166. Завод FMC по производству чипов под Магдебургом: проект на 3 миллиарда евро
  167. Intel отказывается от строительства завода в Германии: проект в Магдебурге окончательно отменён
  168. Вынужденные меры экономии: Intel собирается выделить сетевое подразделение NEX в отдельную компанию
  169. Квартальные отчёты: Amazon, Apple и Microsoft превзошли ожидания рынка
  170. Nintendo Switch 2 стала настоящим хитом: продажи взлетели вдвое
  171. NVIDIA дала разъяснение: никаких Kill Switch и Backdoor в графических процессорах
  172. Квартальные результаты AMD: Ryzen и Radeon показывают рост, серверы и ускорители теряют позиции
  173. Скандал вокруг TSMC: утечка коммерческой тайны и фейковые заявления о многомиллиардных инвестициях
  174. Dojo 3: Intel получила заказ от Tesla на Advanced Packaging
  175. 15 % от оборота: AMD и NVIDIA будут платить США за экспорт в Китай
  176. Трамп требует немедленной отставки главы Intel Лип-Бу Тана (обновление 4)
  177. Отчёт о переговорах: США могут войти в капитал Intel (обновление 2)
  178. AMD приглашает на День финансового аналитика 11 ноября
  179. Документальный фильм: процветающий чёрный рынок ускорителей ИИ в Китае
  180. Fujitsu и NVIDIA: международное сотрудничество для FugakuNEXT
  181. Hot Chips 2025: NVIDIA раскрывает подробности о GB10 SoC, сетевых решениях и не только
  182. Кризис у Intel: компания передает 10 % акций правительству США
  183. NVIDIA подтвердила Tape Out: шесть новых чипов скоро выйдут с фабрик TSMC
  184. Hot Chips 2025: вызовы масштабных стоечных решений
  185. Квантовые суперкомпьютеры: AMD и IBM объявили о сотрудничестве
  186. Квартальные результаты: выручка и прибыль NVIDIA продолжают расти
  187. Сравнение с Intel, TSMC и Samsung: Rapidus намерена выйти на уровень 2-нм лидеров
  188. ASML TWINSCAN EXE 5200B: SK Hynix ввела в эксплуатацию первую систему High-NA EUV
  189. Чип ИИ от OpenAI выйдет в 2026 году: контракт на $10 млрд для Broadcom
  190. 4-е место в мире: самый быстрый суперкомпьютер Европы официально запущен
  191. Генеральный директор Qualcomm: производство чипов Intel пока не дотягивает
  192. Новые экспортные ограничения? Производители GPU могут столкнуться с новыми проблемами
  193. Соглашение по авторскому праву: авторы получат миллиардные компенсации от компании Anthropic
  194. Кадровые перестановки: Intel назначает новых руководителей
  195. Стратегическое партнёрство: ASML инвестирует 1,3 млрд евро в Mistral AI
  196. Вместо старых фабрик: TSMC планирует выпускать пелликулы самостоятельно
  197. После 28 лет работы: архитектор процессоров Ронак Сингхал уходит из Intel
  198. NVIDIA и Intel объединяют усилия: RTX-чиплеты и кастомные Xeon-процессоры (обновление)
  199. Microsoft строит самый мощный в мире дата-центр: сотни тысяч ускорителей
  200. NVIDIA и OpenAI: оборудование на 10 ГВт и инвестиции до 100 млрд долларов
  201. Инвестиции: Intel, похоже, ведёт переговоры с Apple
  202. Electronic Arts продана международным инвесторам за 55 миллиардов долларов
  203. GPU Instinct MI450 на 6 ГВт: AMD и OpenAI объявили о стратегическом партнёрстве (обновление)
  204. Визит на завод Intel Fab 52: 50 000 м² высокотехнологичной точности
  205. NVIDIA выбрала HBM3E от Samsung для систем GB300: теперь окончательно
  206. NVIDIA и OCP: 800 В постоянного тока, шина с водяным охлаждением и архитектура Vera Rubin CPX/MGX для Open Compute Project
  207. Теперь официально: OpenAI и Broadcom объединяют усилия для разработки ускорителей ИИ
  208. AMD готовит стойки Helios и графические ускорители Instinct MI450 Series для Oracle
  209. Очередное кадровое изменение: Роб Брукнер покидает Intel и переходит в Dell
  210. Квартальный отчёт TSMC: компания установила рекорд по выручке, а производство по техпроцессу N2 выходит на массовый уровень
  211. NVIDIA x TSMC: первая кремниевая пластина с GPU Blackwell произведена в США
  212. На техпроцессе Intel 18A-P: Microsoft может стать первым крупным клиентом Intel Foundry
  213. Медиа-гигант может сменить владельца: Warner Bros. рассматривает предложения о продаже
  214. VW снова сталкивается с кризисом поставок чипов: производство под угрозой из-за проблем у Nexperia
  215. Разработка Dojo прекращена: Tesla сосредоточится на едином дизайне чипа ИИ (обновление 2)
  216. Intel отчиталась о хороших квартальных результатах: компания предупреждает о возможном дефиците чипов в 2026 году
  217. Lux и Discovery: два новых суперкомпьютера с оборудованием AMD от HPE для Oak Ridge National Laboratory
  218. Для Feynman: NVIDIA станет первым клиентом TSMC для A16 с технологией Backside Power (обновление)
  219. Министерство энергетики США: новые ИИ-системы — теперь на оборудовании NVIDIA
  220. Расширение в Дрездене: GlobalFoundries инвестирует 1,1 миллиарда евро
  221. Рентгеновская литография может бросить вызов ASML и TSMC: ажиотаж вокруг американского стартапа Substrate
  222. Intel открыла первый Experience Store в Мюнхене: поп-ап-пространство сосредоточено на ИИ и новых чипах Panther Lake
  223. Вычислительные ресурсы в AWS: OpenAI заказывает мощности на 38 миллиардов долларов
  224. Опрос: европейские компании готовы платить больше за полупроводники, произведённые в ЕС
  225. AMD отчиталась о хороших финансовых показателях за третий квартал 2025 года: сильные результаты в сегментах дата-центров и клиентских решений