> > > > TSMC показала собственные технологии производства процессоров ARM HPC на дизайне chiplet

TSMC показала собственные технологии производства процессоров ARM HPC на дизайне chiplet

Опубликовано:

tsmcБудущее принадлежит дизайнам chiplet. AMD представит соответствующие продукты с третьим поколением процессоров Ryzen и вторым поколением EPYC, да и опыт, полученный AMD с процессорами Zen, наверняка будет перенесен на грядущую архитектуру RDNA видеокарт. Intel тоже работает в данном направлении, и до сих пор все сведения об архитектуре Xe указывают на модульный дизайн. Наконец, недавно NVIDIA опубликовала документацию ускорителя ИИ на основе MCM.

На симпозиуме VLSI в Киото (Япония) TSMC демонстрировала свою реализацию дизайна chiplet. Наши коллеги из WikiChip были на конференции и поделились полученной информацией. В том числе и техническими подробностями. Судя по всему, чип отлично подходит для сегмента HPC.

  • Используются ядра ARM с тактовыми частотами 4 ГГц или больше
  • Используется двунаправленный интерфейс в полносвязной топологии (mesh) тоже на частоте 4 ГГц или выше
  • Применена фирменная технология упаковки Chip-on-Wafer-on-Substrate (CoWoS), вместе с Low Voltage In-Package Interconnect она должна обеспечить скорость передачи 8 GT/s при энергопотреблении меньше 1 пДж/бит

TSMC выбрала дизайн с двумя кристаллами chiplet. На каждом содержатся четыре ядра ARM Cortex-A72, кристаллы производятся по 7-нм техпроцессу. Дизайн подразумевает, что ядра ARM могут достигать частоты 4 ГГц или даже больше. К четырем ядрам ARM подключено два кэша L2 по 1 Мбайт. Также есть дополнительные 6 Мбайт кэша L3. Кристаллы состоят из 15 слоев. Два кристалла устанавливаются на подложку в более крупной упаковке. Конечно, подобная структура накладывает свои требования по соединению чипов.

TSMC сконцентрировалась на высокой производительности ядер ARM и хорошем масштабировании частоты в зависимости от напряжения. У TSMC уже был весьма успешный опыт с 7-нм производством, да и AMD сегодня выпускает крупные кристаллы CPU и GPU по 7-нм технологии.

Сравнение тактовых частот и напряжений
Частота 2,8 ГГц 3,0 ГГц3,5 ГГц4,0 ГГц4,2 ГГц
Напряжение 0,775 В
0,825 В
0,95 В1,20 В1,375 В

Для работы на 4,2 ГГц требуется напряжение 1,375 В. Между тем на 2,8 ГГц достаточно 0,775 В.

Соединения и упаковка

TSCM использует двунаправленный интерконнект в полносвязной топологии (mesh) внутри chiplet для связи четырех ядер ARM и кэша L3. Структура опирается на шесть распределенных mesh-станций. Ширина шины составляет 1.968 бит, тактовая частота от 2,0 до 5,2 ГГц, в результате пропускная способность может достигать 1,2 Тбайт/с.

Два chiplet связываются друг с другом через интерконнект LIPINCON (low-voltage-in-package interconnect). У каждого кристалла есть два PHY (интерфейса на физическом уровне OSI). Каждый интерфейс однонаправленный. Первый работает в режиме master для связи CPU с кэшем L3, второй - в режиме slave в противоположном направлении. Каждый PHY достигает пропускной способности 8 Гбайт/с при мультиплексировании 2:1.

Весьма интересна и упаковка. TSMC описывает упаковку как Chip-on-Wafer-on-Substrate (CoWoS). Нижняя подложка обеспечивает соединение упаковки и материнской платы, снизу используются довольно крупные шарики BGA (Ball Grid Arrays). На нижнюю подложку установлена кремниевая подложка (interposer), она соединяется уже шариками C4 из меди.

Два кристалла chiplet на верхней подложке разделены всего на 100 мкм. Интерфейсы LIPINCON PHY подключены к подложке микро-шариками с расстоянием всего 40 мкм между ними. Для сравнения: AMD использует шарики с расстоянием 130 мкм друг от друга даже в случае новых 7-нм кристаллов процессоров RYZEN и EPYC.

Сравнение технологий chiplet
AMD Intel TSMC
УпаковкаMCM EMIB CoWoS
Канал- 1 mm 500 µm
Шарикии Chiplet130 µm 55 µm 40 µm
ИнтерконнектInfinity Fabric (IF) Advanced Interface Bus (AIB)
LIPINCON
Скорость передачи данных10,6 GT/s 2 GT/s 8 GB/s
Энергопотребление2 pJ/Bit 1,2 pJ/Bit
0.56 pJ/bit
РеализацияRyzen 3. Gen
Ryzen Threadripper 3. Gen
EPYC 2. Gen
Stratix 10
-

В таблице приведено сравнение разных дизайнов чипов. Если AMD обеспечивает самую высокую пропускную способность интерконнекта, Intel и TSMC выбрали путь большей компактности, что видно и по дизайну. AMD концентрируется на настольном рынке, где размер и расстояние между кристаллами не так важны. И интерконнект AMD не отличается высокой эффективностью. AMD планирует представить первые готовые продукты для настольных ПК и серверов уже в этом году.

Intel изначально ориентировала дизайн chiplet на специальные рынки. Поэтому пройдет некоторое время, прежде чем EMIB и AIB выйдут в массы. TSMC на конференции VLSI пока что просто заявила о намерениях. Конкретных продуктов еще нет. AMD для производства CPU chiplet использует мощности TSMC, но упаковка чипа производится в другом месте, да и подложка у AMD опирается на собственные технологии.